热设计网

英特尔推出下一代先进封装用玻璃基板,业界提出质疑

热设计
来源:半导体产业纵横 ;


近日,英特尔宣布推出业界首款用于下一代先进封装的玻璃基板,计划于2026~2030年量产,凭借单一封装纳入更多的晶体管,预计这将实现更强大的算力(HashRate),持续推进摩尔定律极限,这也是英特尔从封装测试下手,迎战台积电的新策略。

英特尔称该基板材料是一项重大突破,可解决有机材质基板用于芯片封装产生的翘曲问题,突破了现有传统基板的限制,让半导体封装晶体管数量极限最大化,同时更省电、更具散热优势,将用于更高速、更先进的数据中心、AI、绘图处理等高端芯片封装。


英特尔指出,该玻璃基板可以承受更高的温度,图案变形减少50%,并具有超低平坦度,可改善曝光深焦,并具有极其紧密的层间互连覆盖所需的尺寸稳定性。


1.png


行业内指出,玻璃材质的芯片基板,受惠于低间距及更小的膨胀系数,生产制程具优势,预计相关芯片最早可在2024年年底前生产,抢攻大型数据中心GPU及加速器市场。


英特尔以先进封装延续摩尔定律至2030年,从系统级单芯片(SoC)转向系统级封装(system-in-package),导入嵌入式多芯片互连桥接(EMIB)封装技术、逻辑芯片3D堆叠封装技术(Foveros),此外,新开发的3D封装技术Foveros Omni、Foveros Direct也准备投入量产。


英特尔开发先进封装技术,一方面能够提升芯片密度,目标到2030年在一个封装中,实现1兆个晶体管。另一方面,可以满足自家产品、代工客户产品的异质整合需求,提高晶粒(Chiplet)灵活性、并降低成本和功耗。


该公司看好玻璃材质的刚性以及较低的热膨胀系数,英特尔测试总监Pooya Tadayon指出,玻璃基板有很大优势,用来降低连接线路的间距,适用于大尺寸封装。Pooya Tadayon表示,使用玻璃材料能够提高芯片供电效率,互连密度可以提高10倍,将带宽近翻倍提升至448G。他强调,玻璃基板将逐渐普及,并与有机材质基板共存。


2.png


英特尔计划于2026~2030年进入量产阶段,相关业者表示,目前处在实验、送样阶段,加工稳定性仍有待改善。不过法人就先进封装市场依旧保持乐观,并认为市场将快速增长。目前,先进封装多数应用在包括英特尔、AMD和英伟达的数据中心芯片,估计2023年合计出货量900万个。


英特尔已规划2024年主流NB用CPU平台Meteor Lake,导入先进封装Foveros技术,在interposer(中间层)上使用4个芯片,预估2024年使用先进封装芯片将10倍数增长至9000万个。未来,在低延迟和线下使用需求推动下,更可能进一步使用在手机端推理芯片,大量参数的LLM模型需要手机端装上更大面积先进封装的芯片,最快2025年可能导入5.5亿支高端机种,市场需求充满想象。


对攻台积电


这一突破性成果是英特尔为其美国晶圆代工厂增强先进封装能力的另一个迹象,也是英特尔迎战台积电的新策略。台积电的亚利桑那州晶圆厂计划生产4nm和3nm芯片,但目前并无在亚利桑那州或美国境内打造封装厂的计划,主要卡关因素是成本高昂,因此,这些先进芯片不会在美国完成封装。


英特尔先进封装资深经理Mark Gardner于今年5月份指出,英特尔芯片制造工厂和组装、测试、封装站点分布在世界各地,而台积电大部分芯片制造设施都在台湾地区,英特尔的优势在于提供安全供应链、分散地缘风险,也可提供客户部分IDM流程,弹性选择。Gardner 称:“英特尔晶圆制造服务愿意让客户只使用服务的一部分,也就是说,他们可以委托其它晶圆代工厂生产芯片,英特尔只做封测。”


业界分析,英特尔下一代玻璃基板先进封装解决方案,可提供更大面积、更具效能的封装服务,此举将掀起全球半导体封装新一波革命,与日月光、安靠等专业封测厂一较高下。


虽然没有透露合作的供应链名单,但英特尔表示,其投入玻璃基板相关研发,并与材料及设备厂紧密合作,希望建构相关生态系。也认为即使有了玻璃基板方案,未来也会跟有机基板方案持续共存,并非完全取代。


业界:量产技术仍不成熟


对于英特尔的玻璃基板,PCB载板厂商表示,量产技术仍不成熟,该技术是否有出海口仍需观察。载板先前市场已有耳语玻璃基板,目前核心层本来就有特殊玻璃材料且内含在PCB载板,但相关技术仍不成熟,仍在实验室技术开发中。业界预期,相关技术将在成熟后才能搭配ABF载板或硬板,而且,如果是涉及玻璃基板的封装段则是硅中间层或其它材质的变化,实际和PCB载板厂商生产制程较无关,而是封装部分的材质流程变化。

标签: 行业新闻 点击: 评论:

留言与评论(共有 0 条评论)
   
验证码: